开发小程序公司
小程序开发资讯 你的位置:开发小程序公司 > 小程序开发资讯 >

小程序开发资讯 Ispatial | Early clock Flow

发布日期:2024-09-28 13:11    点击次数:89

Genus Ispatial flow 自2019 年推出以来,广受客户嗜好,AE 们接客接到腰酸腿软颈椎弯。Ispatial flow 除了跟Innovus 更好的correlation 以外还有两大本性,其一是CRR: Critical region restructure, 也即是逻辑重构;其二即是Early clock flow, 为了知其然老驴研读了几天Early clock flow, 今天以一篇appNote 为参考小程序开发资讯,作念个学习小结。

图片

组选类型判断:最近5期排列三开出2次组六号码,本期重点关注组六号码出现。

也因此,刮刮乐“翻6倍”一上市就备受“刮奖控”追捧,中奖捷报频传,陕西省彩民好运不断。这不,西安雁塔再传喜讯,高女士(化名)刮中最高奖金60万!

Early Clock Flow 是个啥?

Early Clock flow 是前几年Innovus 中的一项技艺校正,其呈现是在Placement 的时分作念clock tree; 其想路相同是『早发现早诊治』;其作念法是在place_opt_design 时调用CCOpt 的fast clock tree clustering 来build clock tree; 其刚正苟简有二:其一是不错赶早看到CTS cell 对congestion 的影响, 其二是不错赶早精准看到ICG 关系的timing. Early Clock flow 自面世以来也曾由上千个绸缪的测验,天然Early Clock flow 跟绸缪强关系,关联词对大部分绸缪王人大有裨益,尤其是时钟通俗的高性能核。此外Early Clock flow 跟CCOpt 的useful skew 相辘集不错进一步改善PPA.

图片

Early Clock Flow 怎么用?

图片

因为Early Clock Flow 是在place_opt_design 中调用fast CCOpt CTS clustering, 是以必须在place_opt_design 之前将CTS 的建造设上,如:

图片

然后在place_opt_design 中将Early Clock Enable:

图片

在Innovus 里作念CTS 需要clock tree spec, 不错读入一个已有的spec 或用高歌create_ccopt_clock_tree_spec 创建一个spec. 如若莫得读入也莫得创建spec, Innovus 在place_opt_design 的时分会我方创建一个spec 况兼在place_opt_design 竣事时将其删除。在log 中不错找到如下信息:

图片

图片

如若db 中已有了spec 则会在log 中找到如下信息:

图片

place_opt_design 创建了CTS spec 之后,小程序开发资讯先会作念一次global placement, 然后build clock tree. 在log 中找DAG (Directed Acyclic Graph) 可获取:

Number and type of buffers and inverters used for the clock treeClock tree wire lengths for top trunk and leaf nets clock net violationsskew group summary together with its minimal, maximal insertion delay, skew, skew targets

图片

Clock Tree build 好之后,用具会在如下步调间屡次迭代:

incremental placementtiming optimizationuseful skewingcongestion repair power optimization

在log 中会看到如下信息:

图片

Early Clock Flow 效果呈现

如若enable 了Early Clock Flow 在place_opt_design 的log 中会找到很多跟CCOpt 关系的信息,在place_opt_design 竣事时会将useful skew advancing 和Delaying 的信息写到log 中,也不错用report_ccopt_pin_insertion_delays 报出相应信息。

图片

如若同期enable 了Early Clock Flow 和Useful Skew, place_opt_design 竣事时会生成一张如下的summary table:

图片

相同不错在log 中找到insertion Delay 的信息:

图片

如若enable了 Early Clock Flow, place_opt_design 之后report timing 张开clock path 会看到在clock path 上也曾有了真的的clock tree cell. 关联词由于clock network 是ideal 的是以在timing report 中看到的clock cell delay 王人是0. insertion delay 会用高歌set_clock_latency 以latency 的体式写到底下文献中:

<DB>/mmmc/views/<view>/latency.sdc

如若enable 了Early Clock Flow, 在ccopt_design 之前不要作念reset_cts_config 或reset_ccopt_config, 不然前边的insertion delay 值会被删掉。Innovus 读入latency.sdc 后,会将set_clock_latency 调度成高歌set_ccopt_property insertion_delay. 关联词需要提防的是,在set_clock_latency 高歌中,负值示意往前推clock, 恰巧示意往后推clock; set_ccopt_property insertion_delay 正好相悖,负值示意往后推clock,恰巧示意往前推clock, 其对应关系是:

图片

ccopt_design 会将place_opt_design Early Clock Flow 中插入的clock tree cell 王人删掉,并重新build tree. 如若在run ispatial flow 时enable 了Early clock flow, 在Innovus 中不论是netlist handoff 的full place_opt_design 照旧db handoff 的incremental place_opt_design 王人不会删掉前边build 的clock tree cell, 数据交互相同是用set_clock_latency.

举个栗子

这是一个通俗的绸缪,这个绸缪莫得congestion 问题,关联词有ICG timing 的问题。

图片

run1 without Early Clock Flow

图片

run2 with Early Clock flow

图片

app开发

place_opt_design 之后不错用clock tree debugger (CTD) 来显现clock tree 及insertion delay.

图片

图片

临了小数

在Ispatial flow 里除了Early Clock Flow 也有useful skew 的范围,useful Skew 在place_opt_design 中默许是开启的,可用如下变量范围:

图片

Early Clock Flow 跟useful skew 是相对沉寂的。

图片

驴说IC 小程序开发资讯

本站仅提供存储行状,统共实质均由用户发布,如发现存害或侵权实质,请点击举报。

Powered by 开发小程序公司 @2013-2022 RSS地图 HTML地图

Copyright Powered by365站群 © 2013-2024 云迈科技 版权所有